Цифро-аналоговый преобразователь - Digital-to-analog converter

8-канальный Cirrus Logic Цифро-аналоговый преобразователь CS4382, используемый в звуковая карта.

В электроника, а цифро-аналоговый преобразователь (ЦАП, D / A, D2A, или же D-to-A) - система, преобразующая цифровой сигнал в аналоговый сигнал. An аналого-цифровой преобразователь (ADC) выполняет обратную функцию.

Есть несколько ЦАП архитектуры; пригодность DAC для конкретного приложения определяется показатели заслуг включая: разрешающая способность, максимум частота дискретизации и другие. Цифро-аналоговое преобразование может ухудшить сигнал, поэтому следует указать ЦАП с незначительными ошибками с точки зрения приложения.

ЦАП обычно используются в музыкальные плееры преобразовывать потоки цифровых данных в аналоговые звуковые сигналы. Они также используются в телевизоры и мобильные телефоны преобразовать цифровые видеоданные в аналоговые видеосигналы. Эти два приложения используют ЦАП на противоположных концах соотношения частота / разрешение. Аудио ЦАП относится к низкочастотному типу с высоким разрешением, а видео ЦАП - к высокочастотному типу с низким и средним разрешением.

Из-за сложности и необходимости точно подобранного составные части, все ЦАП, кроме самых специализированных, реализованы как интегральные схемы (ИС). Обычно они имеют форму металл – оксид – полупроводник (MOS) интегральная схема со смешанными сигналами чипы, которые объединяют оба аналог и цифровые схемы.

Дискретные ЦАП (схемы, построенные из нескольких дискретных электронные компоненты вместо упакованной ИС), как правило, были бы чрезвычайно высокоскоростными энергоемкими с низким разрешением, которые используются в военных радар системы. Очень высокоскоростное испытательное оборудование, особенно для отбора проб осциллографы, также могут использовать дискретные ЦАП.

Обзор

Идеально дискретизированный сигнал.
Кусочно-постоянный выход обычного ЦАП без фильтр реконструкции. В практическом ЦАП фильтр или конечная полоса пропускания устройства сглаживают переходную характеристику в непрерывную кривую.

ЦАП преобразует Абстрактные число конечной точности (обычно фиксированная точка двоичное число ) в физическую величину (например, Напряжение или давление ). В частности, ЦАП часто используются для преобразования конечной точности Временные ряды данные к постоянно меняющимся физическим сигнал.

An идеальный DAC преобразует абстрактные числа в концептуальную последовательность импульсы которые затем обрабатываются фильтр реконструкции используя некоторую форму интерполяция для заполнения данных между импульсами. Обычный практичный ЦАП преобразует числа в кусочно-постоянная функция состоящий из последовательности прямоугольные функции который смоделирован с удержание нулевого порядка. Другие методы DAC (например, основанные на дельта-сигма модуляция ) произвести модулированный по плотности импульса выходной сигнал, который можно аналогичным образом фильтровать для получения плавно меняющегося сигнала.

В соответствии с Теорема выборки Найквиста – Шеннона, ЦАП может восстановить исходный сигнал из дискретизированных данных при условии, что его полоса пропускания соответствует определенным требованиям (например, основная полоса сигнал с пропускная способность меньше чем Частота Найквиста ). Цифровая выборка вводит ошибка квантования что проявляется в виде шума низкого уровня в восстановленном сигнале.

Приложения

Упрощенная функциональная схема 8-битного ЦАП

ЦАП и АЦП являются частью позволяющие технологии что внесло большой вклад в цифровая революция. Для иллюстрации рассмотрим типичный междугородний телефонный звонок. Голос звонящего преобразуется в аналоговый электрический сигнал с помощью микрофон, затем аналоговый сигнал преобразуется в цифровой поток с помощью АЦП. Затем цифровой поток делится на сетевые пакеты куда он может быть отправлен вместе с другими цифровые данные, не обязательно аудио. Затем пакеты принимаются в пункте назначения, но каждый пакет может идти по совершенно разному маршруту и ​​даже не прибывать в пункт назначения в правильном временном порядке. Затем цифровые голосовые данные извлекаются из пакетов и собираются в поток цифровых данных. ЦАП преобразует его обратно в аналоговый электрический сигнал, который управляет аудио усилитель, что, в свою очередь, приводит к громкоговоритель, который, наконец, воспроизводит звук.

Аудио

Проигрыватель компакт-дисков с верхней загрузкой и внешний цифро-аналоговый преобразователь.

Большинство современных аудиосигналов хранятся в цифровой форме (например, MP3 и Компакт-диски ) и, чтобы их можно было слышать через динамики, их необходимо преобразовать в аналоговый сигнал. Поэтому ЦАП можно найти в CD проигрыватели, цифровые музыкальные плееры, и ПК звуковые карты.

Отдельные специализированные ЦАПы также можно найти в дорогих Hi-Fi системы. Обычно они используют цифровой выход совместимого СиДи плэйер или посвященный транспорт (который в основном представляет собой проигрыватель компакт-дисков без внутреннего ЦАП) и преобразует сигнал в аналоговый линейный уровень вывод, который затем можно передать в усилитель мощности водить колонки.

Подобные цифро-аналоговые преобразователи можно найти в цифровые колонки Такие как USB динамики, а в звуковые карты.

В передача голоса по IP В приложениях источник должен быть оцифрован для передачи, поэтому он подвергается преобразованию через АЦП, а затем преобразуется в аналоговый с помощью ЦАП на стороне получателя.

видео

Видеосэмплирование, как правило, работает в совершенно другом масштабе благодаря сильно нелинейному отклику как электронно-лучевых трубок (для которых было предназначено подавляющее большинство работ по созданию цифрового видео), так и человеческого глаза, использующего «гамма-кривую» для обеспечения появление равномерно распределенных ступеней яркости по всему динамическому диапазону дисплея - отсюда необходимость использования RAMDAC в компьютерных видео-приложениях с достаточно глубоким цветовым разрешением, чтобы сделать создание жестко запрограммированного значения в ЦАП для каждого выходного уровня каждого канала непрактичным (например, для Atari ST или Sega Genesis потребуется 24 таких значения; 24-битной видеокарте потребуется 768. ..). Учитывая это врожденное искажение, для телевизора или видеопроектора нет ничего необычного в том, что он правдиво заявляет о линейном коэффициенте контрастности (разнице между самым темным и самым ярким выходными уровнями) 1000: 1 или больше, что эквивалентно 10 битам точности звука, даже если это может только принимать сигналы с 8-битной точностью и использовать ЖК-панель, отображающую только 6 или 7 бит на канал.

Видеосигналы от цифрового источника, такого как компьютер, должны быть преобразованы в аналоговую форму, если они должны отображаться на аналоговом мониторе. По состоянию на 2007 год аналоговые входы использовались чаще, чем цифровые, но это изменилось, поскольку плоские дисплеи с DVI и / или HDMI связи стали более распространенными.[нужна цитата ] Однако видео ЦАП встроен в любой цифровой видеоплеер с аналоговыми выходами. ЦАП обычно интегрируется с некоторыми объем памяти (баран ), который содержит таблицы преобразования для гамма-коррекция, контрастность и яркость, чтобы устройство под названием RAMDAC.

Устройство, отдаленно относящееся к ЦАП, - это потенциометр с цифровым управлением, используется для цифрового управления аналоговым сигналом.

Механический

Пишущая машинка IBM Selectric использует механический цифро-аналоговый преобразователь для управления своим печатным шариком.

Однобитовый механический привод принимает два положения: одно при включении, другое при выключенном. Движение нескольких однобитовых исполнительных механизмов можно комбинировать и взвешивать с помощью Whiffletree механизм для создания более мелких шагов. В IBM Selectric машинка использует такую ​​систему.[1]

Связь

ЦАП широко используются в современных системах связи, позволяя генерировать сигналы передачи с цифровым определением. Высокоскоростные ЦАП используются для мобильная связь и сверхбыстрые ЦАП используются в оптическая связь системы.

Типы

Наиболее распространенные типы электронных ЦАП:[2]

  • В широтно-импульсный модулятор где конюшня Текущий или же Напряжение переключается на низкочастотный аналоговый фильтр с длительностью, определяемой кодом цифрового входа. Этот метод часто используется для регулировка скорости электродвигателя и затемнение Светодиодные лампы.
  • ЦАП с передискретизацией или интерполирующие ЦАП, например, использующие дельта-сигма модуляция, используйте метод преобразования плотности импульса с передискретизация. С дельта-сигма ЦАП достигаются скорости более 100 тысяч выборок в секунду (например, 192 кГц) и разрешение 24 бита.
  • Двоично-взвешенный ЦАП, который содержит отдельные электрические компоненты для каждого бита ЦАП, подключенного к точке суммирования, обычно операционный усилитель. Каждый вход в суммировании имеет значения степени двойки с наибольшим током или напряжением на старший бит. Сумма этих точных напряжений или токов дает правильное выходное значение. Это один из самых быстрых методов преобразования, но он страдает низкой точностью из-за высокой точности, необходимой для каждого отдельного напряжения или тока.[3] Этот тип преобразователя обычно ограничен 8-битным разрешением или меньше.[нужна цитата ]
    • Переключено резистор ЦАП содержит параллельную цепь резисторов. Отдельные резисторы включаются или отключаются в сети в зависимости от цифрового входа.
    • Переключено Источник тока ЦАП, из которого выбираются разные источники тока в зависимости от цифрового входа.
    • Переключено конденсатор ЦАП содержит параллельную конденсаторную сеть. Отдельные конденсаторы подключаются или отключаются переключателями в зависимости от входа.
    • В Лестница Р-2Р ЦАП, представляющий собой двоично-взвешенный ЦАП, в котором используется повторяющаяся каскадная структура значений резисторов R и 2R. Это улучшает точность из-за относительной простоты изготовления резисторов с одинаковым номиналом.
  • Последовательное приближение или циклический ЦАП,[4] который последовательно формирует выход в течение каждого цикла. Отдельные биты цифрового входа обрабатываются каждый цикл, пока не будет учтен весь вход.
  • В с термометром ЦАП, который содержит равный резистор или сегмент источника тока для каждого возможного значения выхода ЦАП. 8-битный ЦАП термометра будет иметь 255 сегментов, а 16-битный ЦАП термометра будет иметь 65 535 сегментов. Это быстрая и высокоточная архитектура ЦАП, но за счет того, что требуется множество компонентов, которые для практической реализации требуют изготовления высокой плотности IC процессы.[5]
  • Гибридные ЦАП, в которых используется комбинация вышеперечисленных методов в одном преобразователе. Большинство интегральных схем ЦАП относятся к этому типу из-за сложности получения в одном устройстве низкой стоимости, высокой скорости и высокой точности.
    • Сегментированный ЦАП, который сочетает в себе принцип кодирования с помощью термометра для наиболее значимых битов и принцип двоичного взвешивания для младших разрядов. Таким образом достигается компромисс между точностью (за счет использования принципа кодирования термометра) и количества резисторов или источников тока (за счет использования принципа двоичного взвешивания). Полная двоично-взвешенная конструкция означает 0% сегментацию, полная конструкция с термометром означает 100% сегментацию.
  • Большинство DACs, показанные в этом списке полагаются на постоянное опорное напряжение или ток, чтобы создать их выходное значение. В качестве альтернативы умножающий ЦАП[6] в качестве эталона преобразования принимает переменное входное напряжение или ток. Это накладывает дополнительные конструктивные ограничения на полосу пропускания схемы преобразования.
  • Современные высокоскоростные ЦАП имеют архитектуру с чередованием, в которой несколько ядер ЦАП используются параллельно. Их выходные сигналы объединяются в аналоговой области для повышения производительности комбинированного ЦАП.[7] Комбинация сигналов может выполняться либо во временной области, либо в частотной области.

Спектакль

Наиболее важные характеристики ЦАП:[нужна цитата ]

Разрешение
Число возможных выходных уровней, которые ЦАП предназначен для воспроизведения. Обычно это указывается как количество биты он использует, что является двоичный логарифм количества уровней. Например, 1-битный ЦАП предназначен для воспроизведения 2 (21) уровней, а 8-битный ЦАП рассчитан на 256 (28) уровней. Разрешение связано с эффективное количество бит что является мерой фактического разрешения, достигаемого ЦАП. Разрешение определяет глубина цвета в видео приложениях и битовая глубина звука в аудиоприложениях.
Максимум частота выборки
Максимальная скорость, с которой схема ЦАП может работать и при этом обеспечивать правильный выходной сигнал. В Теорема выборки Найквиста – Шеннона определяет связь между этим и пропускная способность дискретизированного сигнала.
Монотонность
Способность аналогового выхода ЦАП перемещаться только в том направлении, в котором движется цифровой вход (т. Е. Если вход увеличивается, выход не падает до подтверждения правильного выхода). Эта характеристика очень важна для ЦАП, используемых в качестве низкого -частотный источник сигнала или как элемент подстройки с цифровым программированием.[нужна цитата ]
Общее гармоническое искажение и шум (THD + N)
Измерение искажения и шума, вносимых ЦАП в сигнал. Выражается в процентах от общей мощности нежелательных гармоническое искажение и шум, который сопровождает полезный сигнал.
Динамический диапазон
Измерение разницы между наибольшим и наименьшим сигналами, которые ЦАП может воспроизвести, выраженное в децибелы. Обычно это связано с разрешением и шумный этаж.

Другие измерения, такие как фазовое искажение и дрожь, также может быть очень важным для некоторых приложений, некоторые из которых (например, беспроводная передача данных, композитное видео) могут даже полагаться по точному воспроизведению сигналов с фазовой регулировкой.

Нелинейное кодирование PCM (A-закон / μ-закон, ADPCM, NICAM) пытается улучшить свои эффективные динамические диапазоны за счет использования логарифмических размеров шага между уровнями выходного сигнала, представленными каждым битом данных. Это способствует большему искажению квантования громких сигналов для лучшей производительности тихих сигналов.

Достоинства

  • Статическая производительность:
    • Дифференциальная нелинейность (DNL) показывает, насколько два соседних аналоговых значения кода отклоняются от идеального шага в 1 младший бит.[8]
    • Интегральная нелинейность (INL) показывает, насколько передаточная характеристика ЦАП отклоняется от идеальной. То есть идеальной характеристикой обычно является прямая линия; INL показывает, насколько фактическое напряжение при данном значении кода отличается от этой строки в LSB (шаг 1 LSB).[8]
    • Ошибка прироста[8]
    • Ошибка смещения[8]
    • Шум в конечном итоге ограничен тепловой шум генерируется пассивными компонентами, такими как резисторы. Для аудиоприложений и при комнатной температуре такой шум обычно немного меньше 1 мкВ (микровольт) белый шум. Это ограничивает производительность менее 20 ~ 21 бит даже в 24-битных ЦАП.
  • Производительность в частотной области
    • Динамический диапазон без паразитных составляющих (SFDR) указывает в дБ соотношение между мощностями преобразованного основного сигнала и наибольшей нежелательной паразитной помехой.[8]
    • Отношение сигнал / шум и искажения (SINAD ) указывает в дБ соотношение между мощностями преобразованного основного сигнала и суммой шума и генерируемых гармонических паразитов.[8]
    • i-е гармоническое искажение (HDi) указывает мощность i-й гармоники преобразованного основного сигнала.
    • Общее гармоническое искажение (THD) - это сумма мощностей всех гармоник входного сигнала.[8]
    • Если максимальный DNL меньше 1 LSB, то D / A конвертер гарантированно будет монотонным. Однако многие монотонные преобразователи могут иметь максимальное значение DNL больше 1 LSB.[8]
  • Производительность во временной области:
    • Область импульса сбоя (энергия сбоя)[8]

Смотрите также

Рекомендации

  1. ^ Брайан Брамфилд (02.09.2014). "Selectric Repair 10-3A Вход: клавиатура" - через YouTube.
  2. ^ "Архитектура преобразователя данных" (PDF). Аналого-цифровое преобразование. Аналоговые устройства. В архиве (PDF) из оригинала на 30.08.2017. Получено 2017-08-30.
  3. ^ «ЦАП с двоичным взвешенным резистором». Учебник по электронике. Получено 2018-09-25.
  4. ^ "Архитектуры преобразователей данных", п. 3.29.
  5. ^ Уолт Кестер, Базовая архитектура ЦАП I. Струнные ЦАП и ЦАП с термометром (полностью декодированные) (PDF), Аналоговые устройства
  6. ^ «Умножение ЦАП: гибкие строительные блоки» (PDF). Аналоговые устройства. 2010. Получено 2012-03-29.
  7. ^ Шмидт, Кристиан (2020). Концепции перемежения для цифро-аналоговых преобразователей: алгоритмы, модели, симуляции и эксперименты. Висбаден: Springer Fachmedien Wiesbaden. Дои:10.1007/978-3-658-27264-7. ISBN  9783658272630.
  8. ^ а б c d е ж грамм час я «Глоссарий АЦП и ЦАП». Максим. В архиве из оригинала от 08.03.2007.

дальнейшее чтение

  • Кестер, Уолт (2005), Справочник по преобразованию данных, ISBN  0-7506-7841-0
  • С. Норсуорси, Ричард Шрайер, Габор К. Темес, Преобразователи данных дельта-сигма. ISBN  0-7803-1045-4.
  • Минглян Лю, Делаем понятные схемы с переключаемыми конденсаторами. ISBN  0-7506-7907-7.
  • Бехзад Разави, Принципы построения системы преобразования данных. ISBN  0-7803-1093-4.
  • Филип Э. Аллен, Дуглас Р. Холберг, КМОП аналоговая схема. ISBN  0-19-511644-5.
  • Роберт Ф. Кафлин, Фредерик Ф. Дрисколл, Операционные усилители и линейные интегральные схемы. ISBN  0-13-014991-8.
  • Ананд Кумар, Основы цифровых схем. ISBN  81-203-1745-9, ISBN  978-81-203-1745-1.
  • Нджунче Тертульен, "Аналоговые интегральные схемы CMOS: высокоскоростная и энергоэффективная конструкция". ISBN  978-1-4398-5491-4.

внешняя ссылка